Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Управління інформацією
Кафедра:
Не вказано

Інформація про роботу

Рік:
2012
Тип роботи:
Методичні вказівки до лабораторної роботи
Предмет:
Комп’ютерні методи аналізу та проектування електронних засобів

Частина тексту файла

МІНІСТЕРСТВО ОСВІТИ І НАУКИ, МОЛОДІ ТА СПОРТУ УКРАЇНИ НАЦІОНАЛЬНИЙ УНІВЕРСИТЕТ “ЛЬВІВСЬКА ПОЛІТЕХНІКА”  АРХІТЕКТУРА ПРОЕКТУ НА МОВІ VHDL В ПОВЕДІНКОВІЙ ФОРМІ. СИНТЕЗ КОМБІНАЦІЙНИХ СХЕМ З ОДНИМ ВИХОДОМ. МЕТОДИЧНІ ВКАЗІВКИ до лабораторної роботи № 2 з курсу «Комп’ютерні методи аналізу та проектування електронних засобів» 8.17010201 “Системи технічного захисту інформації, автоматизація її обробки”, 8.17010302 “Адміністративний менеджмент у сфері захисту інформації”, 8.17010301 “Управління інформаційною безпекою”, 7.17010201 “Системи технічного захисту інформації, автоматизація її обробки”, 7.17010302 “Адміністративний менеджмент у сфері захисту інформації”. Затверджено на засiданнi кафедри “Захист інформації” Протокол № ___ від __ ________ 2010 р. м. Львів 2012 Архітектура проекту на мові VHDL в поведінковій формі. Синтез комбінаційних схем з одним виходом. Інструкція до лабораторної роботи № 2 з курсу “ Комп’ютерні методи аналізу та проектування електронних засобів.” для студентів спеціальностей 8.17010201 “Системи технічного захисту інформації, автоматизація її обробки”, 8.17010302 “Адміністративний менеджмент у сфері захисту інформації”, 8.17010301 “Управління інформаційною безпекою”, 7.17010201 “Системи технічного захисту інформації, автоматизація її обробки”, 7.17010302 “Адміністративний менеджмент у сфері захисту інформації” / Укл.: Горпенюк А.Я., Луковський Т.І., Будз Б.Д. – Львів: НУЛП, 2012.- 13 с. Укладачі: к.т.н, доцент, Горпенюк Андрій Ярославович, к.т.н., зав. лаб. Луковський Тарас Ігорович, асистент Будз Богдан Дмитрович. Відповідальний за випуск: д.т.н., доцент Пархуць Любомир Теодорович. Рецензенти: к.т.н., доц. Гаранюк Петро Ігорович, к.т.н., доц. Совин Ярослав Романович. Мета роботи: - Ознайомитись з представленням проекту на мові VHDL. Реалізація комбінаційної логічної схеми з одним виходом в поведінковій формі проекту на мові VHDL. 1. ОСНОВНІ ВІДОМОСТІ Логічний елемент - це електронний пристрій, який реалізує певну логічну (перемикальну) функцію. Сукупність логічних елементів і зв’язків між ними, призначену для перетворення двійкових змінних, називають логічною схемою. Логічні схеми поділяють на послідовнісні і комбінаційні. Комбінаційною називають схему, m вихідних сигналів якої в кожний момент часу повністю визначаються сукупністю n її вхідних сигналів в цей самий момент часу. Тобто вихідні сигнали комбінаційної схеми в даний момент часу не залежать від вхідних сигналів, які діяли в попередні моменти часу (схема не має пам’яті). Кажуть, що така схема має один стан. Поведінка комбінаційної схеми описується системою логічних функцій. Виділяють задачі аналізу та синтезу комбінаційних схем. Задача аналізу комбінаційної схеми полягає в знаходженні системи логічних функцій, що відображають логіку роботи такої схеми. В процесі аналізу з схеми вилучають елементи, що не впливають на логіку її роботи (формувачі, елементи узгодження і т.д.), після чого визначають згадану систему логічних функцій. Задача синтезу є оберненою до задачі аналізу. 1.1. Базова структура VHDL файлу. Проект будь-якого цифрового пристрою (ЦП) – це програма, яка містить ключові і зарезервовані слова. Ці слова не можуть бути використані як імена сигналів або як ідентифікатори. В мові VHDL ключові слова, зарезервовані слова і користувацькі ідентифікатори не є чутливими до регістру. Рядки з коментарями починаються з двох дефісів підряд (--) і при компіляції програми ігноруються так само, як символи кінця рядка і пробіли. VHDL – є строго типізована мова. Це означає, що всі програмні елементи даних (константа, змінна, сигнал) повинні бути явно оголошені з обов’язковим визначенням типу елементу. Тип, вказаний в оголошенні програмного елементу даних, визначає інформаційні характеристики цього елементу, діапазон допустимих числових значень, які може приймати цей програмний елемент, а також операції, які можуть над ним виконуватись. Крім того в мові VHDL не допускаються операції над різнотипними елементами без попереднього...
Антиботан аватар за замовчуванням

23.05.2013 19:05

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини